Mô phỏng và thực nghiệm bộ điều khiển tốc độ động cơ pmsm bằng phương pháp vector và thuật toán svpwm dựa trên công nghệ FPGA

So sánh kết quả mô phỏng và thực nghiệm từ hình 10 và hình 12 cho thấy, đáp ứng tốc độ của động cơ tương tự nhau. Phần mô phỏng có thời gian nhanh hơn phần thực nghiệm vì động cơ ở phần mô phỏng được thay đổi thông số nhằm giảm bớt thời gian chạy mô phỏng. Tuy nhiên toàn bộ các bước mô phỏng và thực nghiệm đã cho thấy sức mạnh của điều khiển vector, các kết quả chứng minh được hệ thống đã được thiết kế chính xác và hoạt động hiệu quả. Công nghệ mô phỏng hoàn toàn có thể đáp ứng được yêu cầu của hệ thống.

pdf6 trang | Chia sẻ: huongthu9 | Lượt xem: 533 | Lượt tải: 0download
Bạn đang xem nội dung tài liệu Mô phỏng và thực nghiệm bộ điều khiển tốc độ động cơ pmsm bằng phương pháp vector và thuật toán svpwm dựa trên công nghệ FPGA, để tải tài liệu về máy bạn click vào nút DOWNLOAD ở trên
53 Tạp chí Khoa học Lạc Hồng Số 04 Journal of Science of Lac Hong University Vol. 4 (12/2015), pp. 53-58 Tạp chí Khoa học Lạc Hồng Số 4 (12/2015), trang 53-58 MÔ PHỎNG VÀ THỰC NGHIỆM BỘ ĐIỀU KHIỂN TỐC ĐỘ ĐỘNG CƠ PMSM BẰNG PHƯƠNG PHÁP VECTOR VÀ THUẬT TOÁN SVPWM DỰA TRÊN CÔNG NGHỆ FPGA Co-simulation and experiment PMSM speed controller with vector control and SVPWM algorithm based on FPGA Nguyễn Vũ Quỳnh1, Hoàng Thị Nga, Nguyễn Hoàng Huy2, Lương Hoàng Sơn 1vuquynh@lhu.edu.vn; 2nguyenhoanghuy@gmail.com Khoa Cơ Điện - Điện Tử Trường Đại học Lạc Hồng, Đồng Nai, Việt Nam Đến tòa soạn: 12/12/2014; Chấp nhận đăng: 3/1/2015 Tóm tắt. Động cơ đồng bộ nam châm vĩnh cửu có hiệu suất cao vì thế được sử dụng rộng rãi trong công nghiệp. Bài báo này trình bày các bước thiết kế, mô phỏng bằng Simulink/Modelsim và thực nghiệm trên Kit DE2-70 bộ điều khiển tốc độ cho PMSM bằng phương pháp vector dựa trên công nghệ FPGA. Nội dung bài báo gồm 3 phần chính: Đầu tiên thuật toán SVPWM và phương pháp điều khiển vector được xây dựng và áp dụng; Tiếp theo, ngôn ngữ lập trình mô tả phần cứng được sử dụng để thực thi thuật toán điều khiển; Các bước mô phỏng chi tiết được xây dựng nhằm kiểm tra tính đúng đắn của từng phần thuật toán điều khiển. Toàn bộ thuật toán được đánh giá tính hiệu quả và độ chính xác lần nữa thông qua thực nghiệm trực tiếp trên kit FPGA. Cuối cùng kết quả mô phỏng và thực nghiệm được so sánh và thảo luận. Từ khoá: FPGA; Mô phỏng; Thực nghiệm; Điều khiển động cơ Abstract. The PMSM has been increasingly used in many automation control fields as actuators, due to its advantages of superior power density, high-performance motion control with fast speed and better accuracy. This article presented the system design and simulation steps with Simulink/Modelsim and experiment on Altera’s DE2-70. The content includes three parts. Firstly, SVPWM algorithm and vector control were developed; Secondly, the very high speed intergrated circuit description language was used for coding the control algorithm; Thirdly, the simulation and experiment steps were designed for checking the correctness of system; Finally, the simulation and experiment results were discussed and compared. Keywords: FPGA; Simulation; Experiment; Motor control 1. GIỚI THIỆU Công nghệ mô phỏng ngày càng được sử dụng rộng rãi trong mọi lĩnh vực hoạt động của con người. Chương trình mô phỏng giúp tiết kiệm thời gian, kinh phí, nguyên vật liệu, tránh được những trường hợp rủi ro, nguy hiểm trong điều kiện thực, thậm chí có thể làm được cái không thể làm trong điều kiện thực. Sử dụng chương trình mô phỏng trong điều kiện cơ sở vật chất còn thiếu thốn giúp khai thác hiệu quả công việc đào tạo và nghiên cứu. Một chương trình mô phỏng phát triển bởi EDA (Electronic Design Automation) được khai thác để kiểm tra tính chính xác của mã VHDL hoặc Verilog. Chương trình mô phỏng cung cấp một giao diện giữa Matlab/Simulink và ModelSim để thực hiện việc kích hoạt, thực thi các đoạn mã viết bằng VHDL/Verilog trong thời gian thực. Trong bài báo này, chương trình mô phỏng Matlab/Simulink và ModelSim được sử dụng để mô phỏng thuật toán điều khiển động cơ có cấu trúc như trong hình 1. Động cơ PMSM, bộ nghịch lưu, bộ cài đặt tốc độ được thiết kế trên Simulink, thuật toán điều khiển vector, bộ điều chế vector không gian, bộ điều khiển tốc độ được lập trình bằng mã VHDL và nhúng vào Matlab/Simulink thông qua phần mềm Modelsim. Động cơ đồng bộ kích từ bằng nam châm vĩnh cửu thường được sử dụng trong các hệ thống đòi hỏi độ chính xác cao như robot, gia công cơ khí, v.v. vì thế bộ điều khiển tốc độ động cơ đóng một vai trò rất quan trọng. Bài báo ứng dụng phương pháp điều khiển vector và điều chế vector không gian dựa trên ngôn ngữ mô phỏng phần cứng để thiết kế bộ điều khiển cho PMSM. Hiện nay rất nhiều phương pháp điều khiển đã được nghiên cứu như điều khiển thích nghi, điều khiển thông minh,v.v. Hầu hết các bộ điều khiển đều sử dụng chip xử lý tín hiệu số (DSP), với nhược điểm là chiếm nguồn tài nguyên và tốn nhiều thời gian để phát triển ứng dụng. Trong khi đó công nghệ FPGA là một ngôn ngữ lập trình phần cứng với đầy đủ những tính năng như tính toán nhanh, tiêu thụ năng lượng ít, tích hợp CPU, độ chính xác cao, v.v. [1]. Ý tưởng thiết kế bộ điều khiển vector là moment và các thành phần từ hóa của từ thông stator được điều khiển độc lập. Dòng điện ba pha stator được biến đổi thành vector dòng điện cung cấp cho bộ điều khiển (Hình 1). Một khi các thông số bộ điều khiển được chọn lựa tốt dòng điện điều khiển , giúp cho việc điều khiển động cơ PMSM tương tự với việc điều khiển động cơ một chiều. Moment của động cơ được điều khiển thông qua dòng điện trên trục q (iq). 2. THIẾT KẾ BỘ ĐIỀU KHIỂN 2.1 Bộ điều khiển dòng điện và các khâu biến đổi Mô hình toán học của PMSM: Nguyễn Vũ Quỳnh, Hoàng Thị Nga, Nguyễn Hoàng Huy, Lương Hoàng Sơn 54 Tạp chí Khoa học Lạc Hồng Số 04 (1) (2) Bộ điều khiển dòng điện và các khâu biến đổi (Hình 1) bao gồm bộ PI, phép biến đổi Clark, Clark ngược, Park, Park ngược. Các khâu biến đổi được thể hiện chi tiết trong Hình 2. Sau khi áp dụng phương pháp điều khiển vector momen của động cơ chỉ phụ thuộc vào iq: (3) Phương trình toán học của động cơ khi mang tải: (4) Hình 1. Sơ đồ khối của toàn bộ hệ thống điều khiển Hình 2. Các phép biến đổi hệ trục tọa độ trong điều khiển vector 2.2 Bộ điều chế vector không gian SVPWM là kỹ thuật điều khiển đươc ứng dụng rộng rãi trong điều khiển thiết bị điện tử công suất. Trạng thái đóng cắt của 6 khóa điện tử công suất (S1~S6) dựa trên thuật toán SVPWM tạo thành tám vector điện áp cung cấp cho động cơ. Trong đó vector V0 và V7 cho điện áp ngõ ra bằng không. Vì vậy vector điện áp Uout trên động cơ được tính toán dựa trên 6 vector còn lại [2-3]. Hình 3. Sáu vector điện áp cung cấp điện cho động cơ Tại mỗi cung 1/6 của hình lục giác điện áp ngõ ra có thể được tính toán theo công thức sau: (5) Trong đó T0 = T - T1 - T2 và T là nửa chu kỳ PWM.Như hình 4 tại khu vực 1/6 nằm giữa hai vector V0 và V2 thì vector điện áp ngõ ra có thể được tính như sau: Mô phỏng và thực nghiệm bộ điều khiển tốc độ động cơ PMSM bằng phương pháp Vector 55 Tạp chí Khoa học Lạc Hồng Số 04 (6) (7) Thay công thức (6)~(7) vào (5), ta được: (8) Với (9) (10) Từ công thức (9) and (10), giá trị của T1và T2 có thể được tính toán như sau: (11) (12) Tương tự cho các cung 1/6 khác giá trị của T1 và T2 được tính toán và được liệt kê trong bảng số 1, với TX, TY và TZ được biểu diễn bởi công thức: (13) (14) (15) Nếu như tại điều kiện bão hòa nơi mà T1 + T2> T, thì T1 và T2 sẽ được thay đổi như sau: (16) (17) Sau khi tính toán giá trị của T1 và T2, chúng được chuyển qua thời gian tác động của SVPWM ở ngõ ra (Hình 4.a) thời gian tác động được tính toán dựa trên công thức: Taon = (T-T1-T2)/2 = T0/2 (18) Tbon = Taon +T1 (19) Tcon = Tbon+T2 (20) Thời gian tác động của PWM tại mỗi cung 1/6 được xác định và liệt kê trong bảng số 2. Từ hình 4b mỗi cung 1/6 được xác định bằng biểu thức: If Vref1 > 0 then a=1 else a=0; (21) If Vref2 > 0 then b=1 else b=0; (22) If Vref3> 0 then c=1 else c=0. (23) Vì vậy Sector = a+2b+4c. (24) Hơn nữa từ các công thức (13)~(15) và công thức biến đổi clark ngược (Hình 2b) ta có: (25) Do đó, Tx, Ty và Tz có thể thu được trực tiếp từ giá trị đầu vào của SVPWM Vref1, Vref2 và Vref3 (Hình 1) Tóm tắt các bước tính toán SVPWM: Bước 1: Dựa vào công thức (24) xác định vị trí các cung 1/6. Bước 2: Tính toán giá trị của TX, TY và TZ từ công thức (13) ~(15). Bước 3: Xác định giá trị của T1 và T2 từ bảng số 1. Nếu giá trị T1 và T2 rơi vào điểm bão hòa thì áp dụng công thức (16)-(17) để thay đổi. Bước 4: Xác định chu kỳ tác động của PWM Taon, Tbon và Tcon từ công thức (18)~(20). Bước 5: Gán chu kỳ tác động của PWM ra ngõ ra CMPR1, CMPR2 và CMPR3 dựa vào Bảng số 2. Bảng 1. Giá trị của T1 và T2 S1 S2 S3 S4 S5 S6 T1 Tz Ty - Tz - Tx Tx -Ty T2 Ty -Tx Tx Tz -Ty - Tz Bảng 2. Giá trị so sánh tại mỗi cung 1/6 S3 S1 S5 S4 S6 S2 CMP1 Ta Tb Tc Tc Tb Ta CMP2 Tb Ta Ta Tb Tc Tc CMP3 Tc Tc Tb Ta Ta Tb (a) (b) Hình 4. Thời gian đóng cắt của PWM trong cung 1/6 đầu tiên (a) và dạng sóng điện áp cung cấp cho khối SVPWM (b) 2.3 Bộ điều khiển PI Ngày nay bộ điều khiển PI được ứng dụng rất phổ biến trong điều khiển các quá trình công nghiệp vì cấu trúc điều khiển đơn giản, phạm vi ứng dụng rộng và hiệu quả điều khiển cao. Bộ điều khiển PI gồm hai khâu chính là tỷ lệ (P) và tích phân (I). Dưới đây bài báo trình bày việc lập trình bộ điều khiển PI số bằng ngôn ngữ lập trình VHLD, phần antireset-windup được thiết kế trong module riêng [4] Khâu tỷ lệ và khâu tích phân được tính toán bằng công thức: Nguyễn Vũ Quỳnh, Hoàng Thị Nga, Nguyễn Hoàng Huy, Lương Hoàng Sơn 56 Tạp chí Khoa học Lạc Hồng Số 04 (26) (27) Bộ điều khiển PI số là tổng hợp của hai khâu trên: (28) 3. CÁC BƯỚC MÔ PHỎNG BỘ ĐIỀU KHIỂN. Hình 5.Sơ đồ khối kiểm tra thuật toán SVPWM (a) và mô hình mô phỏng Simulink kiểm tra SVPWM (b) Để dễ dàng kiểm tra tính hiệu quả và chính xác của thuật toán điều khiển vector và SVPWM, bài báo thực hiện mô phỏng và thực nghiệm trong 6 bước Bước 1: Kiểm tra thuật toán SVPWM. Sơ đồ khối kiểm tra SVPWM được mô tả trong Hình 5(a), chương trình modelsim được sử dụng để nhúng mã viết bằng VHDL của các phép biến đổi Clark, Park, Clark ngược, Park ngược và thuật toán SVPWM vào Matlab/Simulink. Tần số chuyển mạch của PWM được thiết kế là 16kHz và thời gian trì hoãn đóng cắt giữa khóa điện tử công suất phía trên và phía dưới là 1.2ms. Giá trị ngõ vào được đặt từ 0.1 đến 0.5 với chu kỳ thời gian 0.1s, giá trị id được đặt bằng không, tín hiệu address ( ) mô tả góc từ thông rotor thay đổi từ 0~360 độ. Để dễ dàng quan sát tín hiệu, ngõ ra PWM được kết nối với mạch lọc RC (R=10W, C=47 ). Mô hình mô phỏng Simulink thể hiện ở Hình 5(b). Kết quả SVPWM thể hiện ở hình 6 đã thể hiện tính chính xác của thuật toán SVPWM Bước 2: Sau khi kiểm nghiệm độ chính xác của thuật toán SVPWM thông qua mạch lọc RC, bước này sẽ kiểm nghiệm lần nữa bằng cách kết nối trực tiếp tới động cơ. Sử dụng thư viện Power system blockset để thiết kế bộ biến tần dựa trên công nghệ IGBT và bổ xung thêm nguồn DC, PMSM. Sơ đồ khối của bước 2 thể hiện ở Hình 7a và mô hình mô phỏng ở Hình 7b. Góc quay từ thông rotor được phản hồi về thay thế cho tín hiệu address ở bước 1. Ngõ vào iq được đặt với các giá trị tương ứng 205, 410, 614, 410, 205. Hình 6. Dạng sóng SVPWM ở ngõ ra sau mạch lọc RC Bước 3: Bộ điều khiển tốc độ PI được thêm vào hệ thống, tốc độ của PMSM phản hồi về bộ điều tốc. Lúc này ta có thể điều khiển PMSM chạy theo các tốc độ đặt trước tại ngõ vào (Hình 8a), mô hình mô phỏng của bước 3 thể hiện ở Hình 8b. Bước 4: Tín hiệu dòng điện 3 pha đo được từ động cơ phản hồi về qua phép biến đổi Clark và Park để kiểm tra id và iq. Đồng thời trên mô hình mô phỏng PMSM, ngoài tốc độ, góc từ thông, moment còn cung cấp tín hiệu id và iq. Tín hiệu này được sử dụng để so sánh với tín hiệu thu được thông qua phép biến đổi Clark và Park. Bước 5: Sau khi đã kiểm tra toàn bộ các chức năng của từng khối, một mô hình mô phỏng Simulink được thiết lập như Hình 9. Hai bộ PI điều khiển dòng điện được thêm vào hệ thống, tín hiệu id và iq ở bước trên được phản hồi về bộ điều khiển dòng điện. Trong Hình 9, M1 chứa mã VHDL của bộ điều khiển tốc độ. M2 chứa mã VHDL của bộ điều khiển dòng điện, các phép biến đổi và SVPWM. Giá trị tốc độ đặt được thay đổi từ 0rpmà500rpm à 1000rpm à 1500rpm à 2000rpm à 1500rpm nhằm kiểm tra đáp ứng tốc độ của động cơ. Kết quả đáp ứng tốc độ của động cơ được thể hiện ở Hình 10. Đáp ứng tốc độ động cơ bám rất tốt đường tốc độ đặt, thời gian thay đổi tăng hoặc giảm 500 vòng/phút khoảng 16ms, không có vọt lố. Dòng điều khiển id xấp xỉ bằng không, dòng 3 pha động cơ cân bằng.Kết quả mô phỏng đã thể hiện tính hiệu quả và chính xác của thuật toán điều khiển. Mô phỏng và thực nghiệm bộ điều khiển tốc độ động cơ PMSM bằng phương pháp Vector 57 Tạp chí Khoa học Lạc Hồng Số 04 Hình 7. Sơ đồ khối kiểm nghiệm ở bước 2 (a) và mô hình mô phỏng Simulink (b) Hình 8. Sơ đồ khối bước 3 (a) và mô hình mô phỏng Simulink- modelsim (b) Hình 9. Mô hình mô phỏng Simulink – Modelsim của toàn bộ hệ thống Hình 10. Đáp ứng tốc độ, dòng điều khiển và dòng ba pha của động cơ 4. THỰC NGHIỆM Sau khi kiểm chứng toàn bộ các chức năng của hệ thống thông qua mô phỏng, mã VHDL được tải vào chip FPGA DE2-70 để kiểm nghiệm lại lần nữa thông qua hệ thống thực tế. Tổng quan về hệ thống thực nghiệm được mô tả ở hình 11. Các thành phần chính bao gồm FPGA board của Altera, PMSM, mạch điều khiển, inverter. Trong thực nghiệm có thêm 2 đoạn mã VHDL của ADC và QEP được thêm vào để đọc tín hiệu dòng điện và góc quay của PMSM. Tương tự với phần mô phỏng, phần thực nghiệm cũng thay đổi giá trị đặt của tốc độ để kiểm tra đáp ứng của động cơ. Tốc độ đặt thay đổi theo trình tự như sau 300rpmà800rpmà1300rpmà1800rpmà1300rpmà18 00rpmà1300rpm. Kết quả thực nghiệm trên hình 12 cho thấy tốc độ động cơ đáp ứng rất tốt với sự thay đổi của tốc độ đặt, thời gian chuyển đổi tốc độ khoảng 120ms, hoàn toàn không bị vọt lố. Dòng điều khiển id xấp xỉ bằng không. Kết quả thực nghiệm cho thấy bộ điều khiển động cơ đã đáp ứng rất tốt cho hệ thống khi có tín hiệu đặt thay đổi. 5. KẾT LUẬN So sánh kết quả mô phỏng và thực nghiệm từ hình 10 và hình 12 cho thấy, đáp ứng tốc độ của động cơ tương tự nhau. Phần mô phỏng có thời gian nhanh hơn phần thực nghiệm vì động cơ ở phần mô phỏng được thay đổi thông số nhằm giảm bớt thời gian chạy mô phỏng. Tuy nhiên toàn bộ các bước mô phỏng và thực nghiệm đã cho thấy sức mạnh của điều khiển vector, các kết quả chứng minh được hệ thống đã được thiết kế chính xác và hoạt động hiệu quả. Công nghệ mô phỏng hoàn toàn có thể đáp ứng được yêu cầu của hệ thống. SVPWM DC Power PMSM IGBT- base Inverter PWM1 Park-1 modify Clark-1 PWM6 PWM2 PWM3 PWM4 PWM5a,b,c d,q Modelsim sin /cos of Flux angle eq Flux angle Transform. A B C + — PI Controller r(a) Rotor speed SVPWM PMSM PI (b) Nguyễn Vũ Quỳnh, Hoàng Thị Nga, Nguyễn Hoàng Huy, Lương Hoàng Sơn 58 Tạp chí Khoa học Lạc Hồng Số 04 Hình 11. Phần cứng thực nghiệm Hình 12. Đáp ứng tốc độ của động cơ và dòng điều khiển 6. TÀI LIỆU THAM KHẢO [1] Y. S. Kung, N. V. Quynh, C. C. Huang, and L. C. Huang, "Simulink/ModelSim co-simulation of sensorless PMSM speed controller," in Industrial Electronics and Applications (ISIEA), 2011 IEEE Symposium on, pp. 24- 29, 2011. [2] R. K. Pongiannan and N. Yadaiah,“FPGA based Space Vector PWM Control IC for Three Phase Induction Motor Drive”, IEEE International Conference on Industrial Technology, pp. 2061-2066, 2006. [3] A. O. Rait and P. Bhosale – FPGA,“Implementation of space vector PWM for speed control of 3-phase induction motor”, International Conference on RecentAdvancements in Electrical, Electronics and Control Engineering, pp. 221-225, 2011 [4] Y. Li, J. Huo, X. Li, J. Wen, Y. Wang, &B. Shan, “An open-loop Sin microstepping driver based on FPGA and the co-simulation of Modelsim and Simulink”, International Conference on Computer, Mechatronics, Control and Electronic Engineering (CMCE), Vol.6, pp. 223-227, 2010. TIỂU SỬ TÁC GIẢ Nguyễn Vũ Quỳnh Năm sinh 1979, Biên hòa, Đồng Nai. Tốt nghiệp Đại học và Thạc sĩ tại trường đại học Sư Phạm Kỹ Thuật Thành phố Hồ Chí Minh năm 2003 và 2005. Tốt nghiệp Tiến sĩ chuyên ngành Kỹ thuật điện tại trường Đại học Khoa học Công nghệ Nam Đài - Đài Loan. Hiện anh đang là trưởng khoa Cơ Điện - Điện Tử, trưởng phòng Nghiên cứu khoa học trường Đại học Lạc Hồng. Lĩnh vực nghiên cứu: FPGA, PLC, Kỹ thuật điều khiển thông minh, Tự động hóa quy trình sản xuất, Hệ thống nhúng, v.v. Nguyễn Hoàng Huy Năm sinh 1978, thành phố Hồ Chí Minh. Hiện anh đang là giảng viên khoa Cơ Điện - Điện Tử trường Đại học Lạc Hồng. Lĩnh vực nghiên cứu: Điều khiển thông minh, xử lý tín hiệu số, v.v.

Các file đính kèm theo tài liệu này:

  • pdfmo_phong_va_thuc_nghiem_bo_dieu_khien_toc_do_dong_co_pmsm_ba.pdf