Tìm hiểu qui trình thiết kế chip verilog

LỜI NÓI ĐẦU Một danh nhân đã noi: “Học phải đi đơi với hành” câu nĩi ấy tuy vơ cùng ngắn gọn nhưng mang tính thực tiễn rất cao. Đặc biệt đối với những ai chọn con đường khoa học kỹ thuật thi câu nĩi ấy càng thiết thực hơn bao giờ hết. Lịch sử khoa học và hiện tại khoa học đã chứng minh điều đĩ. Trải qua năm năm học tập tại trường Đại Học Bách Khoa Thành Phố Hồ Chí Minh các thầy cơ giàu kinh nghiệm đã truyền đạt cho tơi rất nhiều kiến thức lý luận cũng như thực tiễn. Đồ án mơn học này là một cơ hội để tơi tổng hợp, rà sốt lại những hiểu biết, mức độ “thẩm thấu” những kiến thức mà bản thân tiếp nhận được. Nĩ như một cơng trình nho nhỏ của bản thân, một lời tri ân chân thành đối với quí Thầy (Cơ) khoa Điện nĩi chung và bộ mơn Điện tử nĩi riêng. Em xin chân thành cảm ơn sự giúp đỡ tận tình của Thầy Bùi Minh Thành cùng các Thầy cơ trong bộ mơn Điện tử Viễn thơng đã giúp em hồn thành đồ án mơn học . Phần I: Quy Trình Thiết Kế Chip Các con Chip hiện nay đang tồn tại ở trong hầu hết các vật dụng điện tử, nhận thấy vai trò đóng góp to lớn của Chip do đó trên thế giới ngành công nghiệp để sản xuất ra những con chip hay những vi mạch tich hợp này hiện đang là một trong những lĩnh vực mới mẻ và hứa hẹn nhiều tiềm năng. Vậy quy trình và những đòi hỏi về mặt kỹ thuật phải có chất lượng cao. TÌM HIỂU QUI TRÌNH THIẾT KẾ CHIP & VERILOG

doc20 trang | Chia sẻ: banmai | Lượt xem: 3090 | Lượt tải: 1download
Bạn đang xem nội dung tài liệu Tìm hiểu qui trình thiết kế chip verilog, để tải tài liệu về máy bạn click vào nút DOWNLOAD ở trên
TRÖÔØNG ÑAÏI HOÏC BAÙCH KHOA THAØNH PHOÁ HOÀ CHÍ MINH KHOA ÑIEÄN – ÑIEÄN TÖÛ BOÄ MOÂN ÑIEÄN TÖÛ – VIEÃN THOÂNG ÑOÀ AÙN ÑIEÄN TÖÛ VIEÃN THOÂNG 2 Ñeà taøi: TÌM HIEÅU QUY TRÌNH THIEÁT KEÁ CHIP VAØ NGOÂN NGÖÕ VERILOG Ñaïi Hoïc Baùch Khoa GVHD : THẦY BÙI MINH THÀNH SVTH : TRẦN TẤN LINH : PHAN ĐĂNG CƯỜNG LỚP : BT03ĐTVT Thaùng 01/2009 LÔØI NOÙI ÑAÀU Một danh nhân đã nói: “Học phải đi đôi với hành” câu nói ấy tuy vô cùng ngắn gọn nhưng mang tính thực tiễn rất cao. Đặc biệt đối với những ai chọn con đường khoa học kỹ thuật thi câu nói ấy càng thiết thực hơn bao giờ hết. Lịch sử khoa học và hiện tại khoa học đã chứng minh điều đó. Trải qua năm năm học tập tại trường Đại Học Bách Khoa Thành Phố Hồ Chí Minh các thầy cô giàu kinh nghiệm đã truyền đạt cho tôi rất nhiều kiến thức lý luận cũng như thực tiễn. Đồ án môn học này là một cơ hội để tôi tổng hợp, rà soát lại những hiểu biết, mức độ  “thẩm thấu” những kiến thức mà bản thân tiếp nhận được. Nó như một công trình nho nhỏ của bản thân, một lời tri ân chân thành đối với quí Thầy (Cô) khoa Điện nói chung và bộ môn Điện tử nói riêng. Em xin chân thành cảm ơn sự giúp đỡ tận tình của Thầy Bùi Minh Thành cùng các Thầy cô trong bộ môn Điện tử Viễn thông đã giúp em hoàn thành đồ án môn học . Phần I: Quy Trình Thiết Kế Chip Các con Chip hiện nay đang tồn tại ở trong hầu hết các vật dụng điện tử, nhận thấy vai trò đóng góp to lớn của Chip do đó trên thế giới ngành công nghiệp để sản xuất ra những con chip hay những vi mạch tich hợp này hiện đang là một trong những lĩnh vực mới mẻ và hứa hẹn nhiều tiềm năng. Vậy quy trình và những đòi hỏi về mặt kỹ thuật phải có chất lượng cao. Quy trình thiết kế một ASIC (Application Specific Integrated Circuit): Mạch tích hợp ứng dụng cụ thể ASIC là linh kiện được sản xuất chưa hoàn chỉnh (hay một phần) bởi nhà cung cấp ASIC ở dạng tổng quát. Quá trình chế tạo ban đầu này rất phức tạp, mất nhiều thời gian và là phần đắc tiền nhất trong toàn bộ quá trình sản xuất. Kết quả của quá trình chế tạo ban đầu này sẽ là những chip silicon có các dải transistor chưa nối với nhau. Quá trình chế tạo sau cùng là quá trình kết nối các transistor với nhau, sẽ được hoàn tất khi người thiết kế chip có một thiết kế cụ thể và người này muốn thực hiện lên trên ASIC. Nhà cung cấp ASIC thường có thể thực hiện điều này trong vài tuần và gọi đây là thời gian làm thay đổi hoàn toàn. Có hai loại linh kiện ASIC, đó là dải cổng (gate array) và cell chuẩn (standard cell). Dải cổng (Gate Array): Được chia thành hai loại dải cổng là dải cổng được chia kênh hay có kênh và dải cổng không có kênh: Dải cổng có kênh được sản xuất một hoặc vài hàng cell cơ bản ngang qua chip silicon. Một cell cơ bản bao gồm một số transistor. Các kênh giữa các hàng cell cơ bản được sử dụng để liên kết nối các cell cơ bản trong thời gian của quá trình sản xuất sau cùng theo yêu cầu khách hàng. Dải cổng không có kênh được sản xuất với rất nhiều cell cơ bản ngang qua chip silicon và không có các kênh chuyên dụng cho việc liên kết nối. Các dải cổng chứa từ vài ngàn cổng tương đương (như cổng NAND 2 ngõ vào) đến vài trăm ngàn cổng tương đương hoặc hơn nữa. Do không gian định tuyến (để liên kết nối) bị giới hạn trên các dải cổng có kênh, cho nên số lượng cổng này khó có thể được sử dụng hết tổng số cổng có sẵn (khoảng từ 70 đến 90%) Thư viện các cell do những nhà cung cấp dải cổng hỗ trợ sẽ bao gồm: các cổng logic cơ bản mẫu, thanh ghi, macro cứng và macro mền. Các macro cứng và macro mềm thường có độ phức tạp của MSI và LSI, chẳng hạn như mạch ghép kênh, mạch so sánh và mạch đếm. Macro cứng được định nghĩa bởi nhà sản xuất dưới dạng các mẫu cell cơ bản. Khi so sánh các macro mền được đặc trưng hóa bởi người thiết kế, như việc chỉ ra độ rộng các bit trong mạch so sánh hai ngõ vào chẳng hạn. Cell chuẩn (Standard cell): Các linh kiện cell chuẩn không có khái niệm về cell cơ bản và không có thành phần nào được sản xuất trước trên chip silicon. Nhà sản xuất tạo ra các mặt nạ tùy thuộc khách hàng cho từng giai đoạn của quá trình sản xuất chip, có nghĩa là silicon được tận dụng hiệu quả hơn nhiều so với các dải cổng. Nhà sản xuất cung cấp các thư viện macro cứng và macro mềm chức những phần tử có độ phức tạp của LSI và VLSI , chẳng hạn như bộ điều khiển, ALU và bộ vi xử lý. Ngoài ra thư viện macro mền còn chứa nhiều mạch chức năng RAM mà ta không thể thực hiện được một cách có hiệu quả các linh kiện dải cổng; Mạch chức năng ROM thường được thực hiện hiệu quả hơn trong các mẫu cell cơ bản. SYSTEM REQUIREMENTS SPECIFICATION MODELLING LOGIC DESIGN SYNTHESIS VERIFICATION TEST GENERATION MANUFAC / Place & Route SIGN-OFF / Mapping / Configuration data PROTO VERIFICATION SYSTEM TESTING SPECIFICATION LOGIC DESIGN PHYSICAL PROTOTYPE Mô hình luồng thiết kế ASIC Quy trình thiết kế dựa trên FPGA (Field Programmable Gate Array): Dải cổng lập trình được dạng trường là linh kiện được sản xuất hoàn chỉnh nhưng vẫn duy trì được tính độc lập với thiết kế. Mỗi nhà sản xuất FPGA đều đăng ký độc quyền các kiến trúc FPGA của mình. Tuy nhiên, những kiến trúc này sẽ bao gồm một số khối logic lập trình được và những khối này được nối với nhau bằng các ma trận chuyển mạch lập trình được. Để cấu hình cho một linh kiện cho một chức năng cụ thể, những ma trận chuyển mạch này được lập trình để định tuyến các tín hiệu giữa nhiều khối logic riêng rẽ. Như vậy với các tính năng của ASIC và FPGA sẽ được chọn lựa tùy vào giá thành của sản phẩm. Tuy nhiên với FPGA, việc lập trình thường dễ dàng và nhanh chóng, chức năng tùy thuộc khách hàng. Hơn nữa các FPGA cho phép việc bố trí bo mạch in bằng công cụ CAD được tiến hành, trong khi thiết kế bên trong FPGA vẫn đang hoàn tất. Thủ tục này cho phép ta kiểm tra sự tích hợp phần cứng và phần mền. Nếu việc kiểm tra hệ thống thất bại, thiết kế này có thể được sửa đổi và linh kiện khác FPGA được lập trình ngay lập tức với chi phí tương đối thấp. Với các chip FPGA và CPLD dạng SSP (lập trình ngay trên hệ thống) hiện nay, việc lập trình lại sẽ hoàn toàn dễ dàng với chi phí không đáng kể. Với những lý do trên, các thiết kế thường trước tiên hướng đến FPGA để kiểm tra hệ thống và có thời gian sản xuất nhỏ. Kế đến, thiết kế được định hướng lại đến một ASIC để sản xuất ở quy mô lớn hơn. Các thỏa hiệp thiết kế phải được xem xét khi định hướng lại từ FPGA sang ASIC. Thí dụ như thời gian giữ dài có thể không bao giờ xuất hiện trong ASIC do tốc độ thực hiện chức năng được cải tiến. Verilog RTL Coding Functional/Gate simulation & Verification Logic Synthesis Physical Layout Device Configuration ucf sdc Verilog test bench Verilog model Verilog Netlist bit par ngc Mô hình luồng thiết kế FPGA Công nghệ FPGA: A. Nguyên lý Antifuse: Cấu trúc Antifuse: Antifuse: cầu chì nghịch. Difussion: khuyếch tán. Lập trình bằng dòng điện. (a) Phần giao nhau (b) Hình vẽ đơn giản (c) Antifuse hoạt động như 1 công tắc Ưu khuyết điểm: Kích thước nhỏ. Quy trình chế tạo khác với công nghệ CMOS. B. Nguyên lý tế bào: SRAM: Cấu trúc tế bào Sram: Hình 2: Cấu trúc tế bào SRAM (hãng Xilinx) Ưu khuyết điểm: • Tái sử dụng và nâng cấp thiết kế dễ dàng. Cập nhật và thay đổi hệ thống bằng tái cấu hình phần cứng trực tiếp. Công nghệ CMOS • Dữ liệu bị mất khi nguồn cung cấp bị ngắt. Kích thước lớn hơn antifuse C. Nguyên lý UVEPROM VÀ EEPROM: Nguyên lý họat động Transistor EPROM: Hình 3: Cấu trúc transistor EPROM (hãng Xilinx) Ưu khuyết điểm: Tái lập trình không cần bộ nhớ ngoài. Diện tích nhỏ. Không tái cấu hình trực tiếp trên mạch. Phần II: Ngô ngữ Verilog Giới thiệu về Verilog: Khái quát: Verilog là một trong hai ngôn ngữ mô tả phần cứng chính (gồm VHDL và Verilog HDL) được người thiết kế phần cứng sử dụng để mô tả, thiết kế các hệ thống số, ví dụ như máy tính hay linh kiện điện tử. Verilog dễ học và dễ sử dụng hơn VHDL. Verilog được chuẩn hoá theo chuẩn IEEE vào năm 1995 và 2001. Verilog rất giống ngôn ngữ C và được giới chuyên môn nghiên cứu, sử dụng nhiều. Verilog HDL có thể được sử dụng để thiết kế hệ thống số ở nhiều mức khác nhau, ví dụ ở mức cao như các mô hình đặc trưng đến các mức thấp như mô hình bố trí dây, điện trở, transistor trên một mạch tích hợp; mô tả các cổng logic, flip_flop trong hệ thống số; mô tả thanh ghi và sự di chuyển dữ liệu giữa các thanh ghi (RTL - Register Transfer Level). Mục đích sử dụng Verilog HDL: Hệ thống số là một hệ thống phức tạp bậc cao. Ở cấp độ chi tiết nhất, chúng có thể bao gồm hàng nghìn thành phần như: các transistor hoặc các cổng logic, cho nên với hệ thống số lớn, thiết kế ở mức cổng không còn sử dụng nữa. Qua nhiều thập kỷ, giản đồ logic của các thiết kế logic cũng không còn nhiều nữa. Ngày nay, sự phức tạp của phần cứng đã tăng lên ở một mức độ mà giản đồ của cổng logic hầu như vô ích khi nó chỉ biểu diễn một mạng lưới phức tạp các liên kết không theo chức năng của thiết kế. Từ những năm 1970, các kỹ sư điện và máy tính đổi hướng theo ngôn ngữ mô tả phần cứng (HDL). Hai ngôn ngữ mô tả phần cứng nổi bật trong kỹ thuật là Verilog và VHDL nhưng những nhà thiết kế công nghệ thích sử dụng Verilog hơn. Verilog cho phép các nhà thiết kế logic thiết kế và mô tả hệ thống số ở nhiều mức độ khác nhau và có sự hỗ trợ từ các công cụ thiết kế bằng máy tính để giúp cho việc xử lý thiết kế ở những mức độ khác nhau. Cách sử dụng cơ bản của Verilog HDL trong thiết kế mạch tích hợp là mô phỏng thiết kế và tạo mẫu trên FPGA trước khi chuyển sang sản xuất. Mục tiêu của Verilog không phải tạo ra những chip VLSI mà sử dụng Verilog để mô tả một cách chính xác chức năng của bất kỳ hệ thống số nào và nạp chương trình tạo mẫu lên FPGA, ví dụ như máy tính, các bộ vi xử lý,… tuy tốc độ chậm và lãng phí diện tích hơn. Những thiết kế mức thấp hơn trong Verilog được thực hiện trên VLSI để đạt đến tốc độ cực đại và có diện tích cực tiểu. Tuy nhiên sử dụng thiết kế dùng Verilog trên FPGA sẽ tiết kiệm chi phí và thời gian thiết kế. Các kiểu dữ liệu trong Verilog: Có 2 kiểu dữ liệu: Kiểu dữ liệu net. Kiểu dữ liệu thanh ghi. Nếu các đối tượng dữ liệu net (wire, wand, wor, tri, supply0, supply1) hoặc regiter (reg) được khai báo mà không có tầm, theo mặc định các đối tượng này rộng 1-bit và được tham chiếu ở dạng vô hướng. Nếu một tầm được khai báo, đối tượng có nhiều bit và được gọi là vector. Một vector có thể được tham chiếu trong trạng thái toàn bộ, một phần hoặc từng bit riêng rẽ khi cần. Kiểu dữ liệu net: Các đối tượng dữ liệu net là khả năng tổng hợp, được chỉ ra ở hình 3.7, sẽ biểu diễn và mô hình sự kết nối vật lý các tín hiệu. Một phép gán trong verilog là cơ chế cơ bản để gán giá trị đến các kiểu dữ liệu của net và register. Cụ thể là, phát biểu gán tiếp tục sẽ gán giá trị đến bất kỳ kiểu nào trong các kiểu dữ liệu của net và do vậy kết nối đến một đường dây thực tế trong mạch điện suy ra được. Wire: Một tín hiệu kiểu wire biểu diễn cho một dây nối trong mạch thiết kế và được dùng để kết nối các cổng logic, các module. Các tín hiệu kiểu wire chỉ được đọc, không được gán trong các hàm hoặc các block. Tín hiệu kiểu wire không lưu trử giá trị của nó và nó phải được gán giá trị bằng các lệnh gán đồng thời hoặc được kết nối vào các output của các cổng logic, các module. Cú pháp: Wire [msb : lsb ] wire_variable_list ; Wor: Mô hình OR- nối dây của vài mạch kích đang kích cùng một net. Một cổng OR sẽ được tổng hợp. Wand: Mô hình AND- nối dây của vài mạch đang kích cùng một net. Một cổng AND sẽ được tổng hợp. Kiểu dữ liệu Register : Kiểu dữ liệu register lưu giữ giá trị từ một phát biểu gán thủ tục đến phát biểu gán thủ tục kế tiếp và có ý nghĩa là đối tượng này lưu giữ giá trị trên các chu kỳ delta mô phỏng. Phép gán thủ tục là một phép gán cho một kiểu dữ liệu của register và không hàm ý rảng một thanh ghi vật lý sẽ được tổng hợp , mạc dù phép gán được sử dụng cho mục đích này. Phép gán được sử dụng để gán giá trị dưới những điều kiện kích khởi, chẳng hạn như các phát biểu if và case. Phép gán thủ tục lưu giữ giá trị trong một kiểu dữ liệu của register và được duy trì cho đến phép gán thủ tục kế tiếp cho kiểu dữ liệu của register đó. Thông số: Một kiểu dữ liệu thông số sẽ định nghĩa một hằng. Chỉ có các hằng thông số nguyên (không thực ) được sử dụng với phần mềm tổng hợp. Giống như mọi kiểu dữ liệu khác, vị trí của chúng sẽ được xác định chúng là toàn cục đối với một module hay là cục bộ đối với một phát biểu always riêng biệt Thí dụ: Parameter A = 4’b 1011, B = 4’b 1000 ; Parameter Stop = 0, Slow = 1, Medium = 2, Fast = 3; Số nguyên: Các kiểu dữ liệu nguyên được dùng để khai báo các biến có công dụng tổng quát để sử dụng trong các vòng lặp, chúng không có ý định phần cứng trực tiếp và lưu giữ giá trị số. Không có tầm nào được chỉ rõ khi một đối tượng nguyên được khai báo. Đây là các số nguyên có dấu và tạo ra các kết quả dạng bù 2. Thí dụ: integer N; Tập giá trị: Các đối tượng dữ liệu wire và dữ liệu reg có thể có những giá trị sau : 0 logic 0 hoặc sai 1 logic 1 hoặc đúng X giá trị logic không xác định Z trạng thái tổng trở cao của cổng ba trạng thái Biến reg được gán giá trị ban đầu là X ở đầu chương trình. Biến wire không được nối với bất kỳ cái gì cũng có giá trị là X. Có thể xác định cỡ của thanh ghi hoặc dây trong khai báo. Ví dụ: reg [7:0] A,B; //thanh ghi A và B có 8 bit từ 0 – 7, bit cao nhất là bit 7 (MSB). wire [3:0] data; //dây data có 4 đường từ 0 – 3. Vùng nhớ: Vùng nhớ được định nghĩa giống như vectơ của thanh ghi. Ví dụ một vùng nhớ gồm 1024 từ, mỗi từ 16 bit reg [15:0] Mem [1024:0]; Kí hiệu Mem[0] sẽ tham chiếu đến vùng nhớ đầu tiên . . . Chú ý rằng không thể tham chiếu đến một bit trong 1 từ của vùng nhớ, muốn làm điều này phải chuyển dữ liệu vào một thanh ghi trung gian. Mô tả module: Định nghĩa module luôn luôn bắt đầu bằng từ khóa module. Tên module, danh sách port, khai báo port, thông số (parameter) phải hiện diện trước tiên trong định nghĩa module. Danh sách port và khai báo port chỉ hiện diện khi module có port tương tác với môi trường bên ngoài. Năm thành phần trong module là: Các khai báo biến Các phát biểu luồng dữ liệu. Thể hiện của các module thấp hơn. Các khối hành vi. Task hoặc function. Các thành phần này có thể ở bất kỳ nơi nào trong module và không cần thứ tự. Phát biểu endmodule là phát biểu sau cùng trong định nghĩa module. Mọi thành phần (ngoại trừ module, tên module và endmodule) là tùy chọn, có thể trộn lẫn và tương thích theo yêu cầu thiết kế. Verilog cho phép nhiều module được định nghĩa trong một tập tin duy nhất và không cần thứ tự. Toán tử và các phát biểu điều khiển: Toán tử: Gồm các toán tử quan hệ so sánh 2 toán hạng và trả ra giá trị logic. Đúng là 1, sai là 0. Nếu bất kì bit nào không xác định thì kết quả ra là không xác định. > : lớn hơn. >= : lớn hơn hoặc bằng < : nhỏ hơn <= : nhỏ hơn hoặc bằng == : bằng logic != : khác Các toán tử điều kiện !   : đảo logic &&  : AND logic ||  : OR logic Các toán tử set bit ~    : đảo bit &    : AND |    : OR ^    : XOR ~&   : NAND ~|   : NOR Các toán tử khác {,}  : ghép thanh ghi hoặc dây <<     : dịch trái thanh ghi >>   : dịch phải thanh ghi ?:     : điều kiện Ví dụ: dùng điều lệnh assign để viết một module giải đa hợp từ 2 đường sang 4 đường (bạn có thể tự viết giải đa hợp từ 3 sang 8 hay 4 sang 16) module demux(data, in1, in0, out0, out1, out2, out3); input data; input  in0,in1; output  out0,out1,out2,out3; assign out0= data&(~in1)&(~in0); assign out1= data&(~in1)&(in0); assign out2= data&(in1)&(~in0); assign out3= data&(in1)&(in0); endmodule Các cấu trúc điều khiển: Verilog rất phong phú các câu lệnh điều khiển có thể sử dụng trong phần thủ tục. Hầu hết chúng rất quen thuộc với những người lập trình bằng ngôn ngữ C. Điểm khác biệt lớn nhất chính là thay dấu ngoặc {} trong ngôn ngữ C bằng từ khóa begin và end trong Verilog HDL. Như đã nói ở trên, dấu ngoặc {,} dùng để nối chuỗi các bit. Có các loại cấu trúc sau: Cấu trúc if . . . else Cấu trúc case: không giống cấu trúc case trong C ở chỗ không cần lệnh break. Ví dụ: case (State)  st0:          State          = st1; st1:          State          = st2; st2:          State          = st3; st3:          State          = st0; endcase Ngoài ra còn có các vòng lặp for, while và repeat. Tuy nhiên chúng rất hiếm khi sử dụng trong việc mô tả các module nên bài viết này sẽ không đề cập đến. Ví dụ: một module 3 trạng thái dùng always và cấu trúc if . . .else, nếu ngõ Con là 1 thì ngõ ra bằng ngõ vào, nếu Con là 0 thì ngõ ra sẽ ở trạng thái tổng trở cao. module tristate(In, Con, Out); input In, Con; output Out; reg Out; always begin       if (Con==1'b1)       Out=In;       else      Out=1'bz; end endmodule Ví dụ: một module so sánh 2 số A và B 4 bit module sosanh(A, B, A_GT_B, A_EQ_B, A_LT_B); input [3:0] A,B; output A_GT_B, A_EQ_B, A_LT_B; reg A_GT_B, A_EQ_B, A_LT_B; always    begin if(A==B) A_EQ_B=1’b1; else A_EQ_B=1’b0; if(A>B) A_GT_B=1’b1; else A_GT_B=1’b0; if(A<B) A_LT_B=1’b1; else A_LT_B=1’b0;  end endmodule Phép gán (assignment) trong Verilog: Toán tử gán dùng để gán kết quả trả về của 1 biểu thức(hàm logic). Phép gán đồng thời: phép gán này chỉ dùng để gán giá trị ở bên ngoài các block Syntax: assign signal1 = signal2; ví dụ: assign a = b & c; Phép gán tuần tự chỉ dùng để gán giá trị bên trong các block. Có 2 loại phép gán non-blocking assignment " <= " và blocking assignment "=". Blocking được xem xét giống như phép gán trong ngôn ngữ C. Tín hiệu sẽ được gán giá trị ngay lập tức. và giá trị mới này sẽ được sử dụng làm giá trị cho tín hiệu đó cho lệnh tiếp theo phía dưới. Non-Blocking được xem xét giống như phép gán đồng thời các lệnh gán non-blocking trong 1 block sẽ được gán đồng thời sau khoản thời gian Dt sau khi các lệnh trong block đươc thực hiện. Tín hiệu sẽ được gán giá trị sau khoản thời gian Dt . Giá trị mới này sẽ không sử dụng làm giá trị cho tín hiệu đó, tín hiệu vẫn lấy giá trị cũ sử dụng cho lệnh tiếp theo phía dưới. Giá trị mới sẽ được sữ dụng cho lần thực hiện block tiếp theo. Ví dụ: Begin a = 2; b = a+1; a <= 3; c = a; end Đề nghị sử dụng nonblocking assignment trong always block dùng để mô tả hệ tuần tự và sử dụng blocking assignment trong always block dùng để mô tả hệ tổ hợp. Always block là cấu trúc cơ sở trong mô hình mức RTL. Các always block được xem như là các module thực thi đồng thời. Always block có thể được sử dụng để tổng hợp ra các bộ chốt (latch), các flip-flop hoặc hệ tổ hợp. Khối always được gọi thực thi các lệnh bên trong block bởi các sự kiện cảm nhận về mức logic, cạnh lên(posedge), cạnh xuống(negedge) của 1 hoặc nhiều tín hiệu ( các tín hiệu cách nhau bằng từ khóa or ). Syntax: always @(event_1 or event_2 or ...) begin: name_for_block ... statements ... End Đề nghị sử dụng always block để mô tả thiết kế hệ tồ hợp như sau: always @( tất cả các tín hiệu input của hệ tổ hợp ở trong list sự kiện mức logic) begin … end Đề nghị sử dụng always block để mô tả thiết kế hệ tuần tự như sau: always @(posedge clk or negedge rst_) begin if (rst_ == 1'b0) { … } else { … } end Mô hình structural và procedural: Các phát biểu thủ tục trong Verilog được sử dụng để mô tả thiết kế ở mức trừu tượng cao (algorithmic level, RTL level). Các phát biểu này cung cấp các cách thức cho việc thực thi các thiết kế phức tạp. Tuy nhiên với sự thay đổi nhỏ trong cách lập trình mô tả sẽ gây ra sự thay đổi lớn về mạch phần cứng được sinh ra. Các phát biểu thủ tục chỉ được sử dụng bên trong các thủ tục (always, function …). Mô hình một số thành phần logic cơ bản: Input Output Hệ tổ hợp Các giá trị ngõ ra chỉ phụ thuộc vào giá trị hiện thời của ngõ vào nên khi ngỏ vào thay đổi ngỏ ra sẽ thay đổi theo. Để mô tả sự phụ thuộc vào giá trị ngõ vào của ngõ ra. Trong verilog sữ dụng lệnh gán đồng thời. Ví dụ: Mô tả bộ cộng bán phần C = A and B; S = A or B; A B C S Half Adder assign C = A & B; assign S = A ^ B; A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Tối ưu hoá mô hình: Miêu tả những tối ưu hóa mà có thể được thực thi bằng mô hình của ngôn ngữ verilog nhằm cải tiến mạch thực thi. Trong trình biên dịch ngôn ngữ C, một bộ tối ưu hóa tạo ra mã máy tối ưu hóa: code thì được sắp xếp lại, dịch chuyển theo thứ tự, hơn thế nữa giảm thời gian thực thi, tối ưu hóa cũng có thể thực thi bởi bộ tối ưu hóa logic. Mạch logic được tạo ra thì dễ dàng bị ảnh hưởng bởi cách một mô hình được miêu tả. Di chuyển một phát biểu từ một nơi này đến một nơi khác hoặc chia ra những diễn giải có thể có ảnh hưởng sâu sắc lên cổng logic được tạo ra, nó có thể tăng hoặc giảm số lượng những cổng tổng hợp. Phân chia tài nguyên. Di chuyển code. Phân tích thành thừa số chung. Giao hoán và kết hợp. Tối ưu hóa Flip-flop và mạch chốt. Kích thước thiết kế. Sử dụng dấu ngoặc đơn. Phần 3: Thiết kế UART: Giới thiệu thiết kế: UART (Universal Asynchronous Receiver and Transmitter) là một thiết bị cho phép nhận và phát thông tin, theo thứ tự và các đường không đồng bộ. UART cho phép truyền thông tin giữa máy tính và một ngòai thiết bị ngoại vi khác (máy in, modem....), kết nối bằng cáp theo cổng RS 232. Đặt tả chi tiết của UART: Phát theo kiểu nối tiếp. Dữ liệu được phát bởi bộ UART theo phương pháp nối tiếp, một gói dữ liệu được phát 11 bit. Bit 0 đánh dấu điểm bắt đầu gói dữ liệu. 8 bit kế cho dữ liệu. Một bit kế cho việc kiểm tra chẵn lẻ. Bit 1 đánh dấu điểm cuối của gói dữ liệu. Khi không có dữ liệu được phát thì đường phát và đường nhận phải tích cực ở mức 1. Bit được phát đầu tiên là LSB (least significant bit), bit thấp nhất. Bit kiểm tra chẵn lẻ thì được set mức 1 hoặc mức 0, tuy theo số 1 được phát. Nếu kiểm tra chẵn được sử dụng có nghĩa là số 1 phải là chẵn, kiểm tra lẽ được sử dụng có nghĩa là số 1 phải là lẽ. Nếu bit kiểm tra chẳn lẻ không được chọn thì một lỗi phát được phát hiện. Tốc độ phát thì cố định. UART có thể được chia thành 2 khối: khối nhận và khối phát. Data reception: • the 8 bits of information arrive in a serial way, at any moment, via the rx signal. The starting point is given par a 0 value of rx • the UART places the 8 bits in a parallel way over dataout, and announces their availability setting rxrdy active • the information reading is made active with the read signal Phát dữ liệu: Kiểm tra nếu tín hiệu txrdy tích cực, nếu tích cực thì 8 bit dữ liệu có thể được ghi trong khi phát. Đặt 8 bit dữ liệu vào và tích cực tín hiệu ghi. UART gửi 8 bit theo tín hiệu tx. Trong suốt quá trình phát thì tín hiệu txrdy phải không hoạt động. Kết thúc quá trình phát, txrdy phải tích cực trở lại và được set ở mức 1. Nhận dữ liệu: 8 bit của thông tin đến được truyền nối tiếp tại mọi thời điểm, theo tín hiệu rx. Điểm bắt đầu nhận có giá trị là 0. UART đặt 8 bit được truyền song song lên dataout Mô tả UART bằng Verilog (RTL code): module uart (reset, txclk, ld_tx_data, tx_data, tx_enable, tx_out, tx_empty, rxclk, uld_rx_data, rx_data, rx_enable, rx_in, rx_empty); // Port declarations. input reset; input txclk; input ld_tx_data; input [7:0] tx_data; input tx_enable; output tx_out; output tx_empty; input rxclk; input uld_rx_data; output [7:0] rx_data; input rx_enable; input rx_in; output rx_empty; // Internal Variables reg [7:0] tx_reg; reg tx_empty; reg tx_over_run; reg [3:0] tx_cnt; reg tx_out; reg [7:0] rx_reg; reg [7:0] rx_data; reg [3:0] rx_sample_cnt; reg [3:0] rx_cnt; reg rx_frame_err; reg rx_over_run; reg rx_empty; reg rx_d1; reg rx_d2; reg rx_busy; // UART RX Logic always @ (posedge rxclk or posedge reset) if (reset) begin rx_reg <= 0; rx_data <= 0; rx_sample_cnt <= 0; rx_cnt <= 0; rx_frame_err <= 0; rx_over_run <= 0; rx_empty <= 1; rx_d1 <= 1; rx_d2 <= 1; rx_busy <= 0; end else begin // Synchronize the asynch signal rx_d1 <= rx_in; rx_d2 <= rx_d1; // Uload the rx data if (uld_rx_data) begin rx_data <= rx_reg; rx_empty <= 1; end // Receive data only when rx is enabled if (rx_enable) begin // Check if just received start of frame if (!rx_busy && !rx_d2) begin rx_busy <= 1; rx_sample_cnt <= 1; rx_cnt <= 0; end // Start of frame detected, Proceed with rest of data if (rx_busy) begin rx_sample_cnt <= rx_sample_cnt + 1; // Logic to sample at middle of data if (rx_sample_cnt == 7) begin if ((rx_d2 == 1) && (rx_cnt == 0)) begin rx_busy <= 0; end else begin rx_cnt <= rx_cnt + 1; // Start storing the rx data if (rx_cnt > 0 && rx_cnt < 9) begin rx_reg[rx_cnt - 1] <= rx_d2; end if (rx_cnt == 9) begin rx_busy <= 0; // Check if End of frame received correctly if (rx_d2 == 0) begin rx_frame_err <= 1; end else begin rx_empty <= 0; rx_frame_err <= 0; // Check if last rx data was not unloaded, rx_over_run <= (rx_empty) ? 0 : 1; end end end end end end if (!rx_enable) begin rx_busy <= 0; end end // UART TX Logic always @ (posedge txclk or posedge reset) if (reset) begin tx_reg <= 0; tx_empty <= 1; tx_over_run <= 0; tx_out <= 1; tx_cnt <= 0; end else begin if (ld_tx_data) begin if (!tx_empty) begin tx_over_run <= 0; end else begin tx_reg <= tx_data; tx_empty <= 0; end end if (tx_enable && !tx_empty) begin tx_cnt <= tx_cnt + 1; if (tx_cnt == 0) begin tx_out <= 0; end if (tx_cnt > 0 && tx_cnt < 9) begin tx_out <= tx_reg[tx_cnt -1]; end if (tx_cnt == 9) begin tx_out <= 1; tx_cnt <= 0; tx_empty <= 1; end end if (!tx_enable) begin tx_cnt <= 0; end end endmodule

Các file đính kèm theo tài liệu này:

  • doctim_hieu_chip_verilog_9062.doc
Tài liệu liên quan